音乐播放器的VHDL实现

qq_49732288 37 0 RAR 2019-05-14 23:05:36

quartusII下VHDL语言音乐播放器的硬件编程实现,可选择3首音乐中的一首播放,可暂停,是EDA实验的必备资料。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-05-14 23:05:36

是个工程,挺不错的,直接用过原理图搭建的,

Generic placeholder image 卡了网匿名网友 2019-05-14 23:05:36

这个真的非常好,借鉴意义蛮大.

Generic placeholder image 卡了网匿名网友 2019-05-14 23:05:36

很好,谢谢分享

Generic placeholder image 卡了网匿名网友 2019-05-14 23:05:36

还可以吧,就是注释的不太详细,用来学习还是不错了

Generic placeholder image 卡了网匿名网友 2019-05-14 23:05:36

代码可用,还行吧。

Generic placeholder image 卡了网匿名网友 2019-05-14 23:05:36

程序没什么问题 但是得根据个人情况进行修改

Generic placeholder image 卡了网匿名网友 2019-05-14 23:05:36

程序没什么大问题 如果有附带仔细的介绍就好了

Generic placeholder image 卡了网匿名网友 2019-05-14 23:05:36

代码可用,可以作为学VHDL的一个例子