verilog16进制转10进制bcd码

wangchaoqun78865 239 0 TXT 2019-05-19 23:05:16

将verilog16进制的数通过算法转化成bcd码,用于数码管显示。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-05-19 23:05:16

很棒的教程,可以用

Generic placeholder image 卡了网匿名网友 2019-05-19 23:05:16

很不错的资源

Generic placeholder image 卡了网匿名网友 2019-05-19 23:05:16

很实用的代码,谢谢分享

Generic placeholder image 卡了网匿名网友 2019-05-19 23:05:16

可以用,领教算法了

Generic placeholder image 卡了网匿名网友 2019-05-19 23:05:16

实用,效果很好,值得下载,减少了开发中的小麻烦

Generic placeholder image 卡了网匿名网友 2019-05-19 23:05:16

谢谢,很有用,fpga有事就有这些麻烦,谢谢。

Generic placeholder image 卡了网匿名网友 2019-05-19 23:05:16

不错,亲测,可以使用。

Generic placeholder image 卡了网匿名网友 2019-05-19 23:05:16

还不错,可以使用,就是分数高了点

Generic placeholder image 卡了网匿名网友 2019-05-19 23:05:16

可以使用,以我初学者的眼光找不出毛病

Generic placeholder image 卡了网匿名网友 2019-05-19 23:05:16

学习了,该代码是将16bit(4位16进制)补码形式的有符号数转成BCD形式的十进制。大概需要经过7个时钟周期完成转换? 应该加个表示转换完成的ready位,确实可用,已经用到程序里了,非常感谢.