VHDL 数字频率计设计

baidu_81634 34 0 RAR 2019-06-04 11:06:24

实验课需要用到且调试通过~LIBRARYIEEE;--有时钟使能的十进制计数器USEIEEE.STD_LOGIC_1164.ALL;ENTITYCNT10ISPORT(CLK:INSTD_LOGIC;--计数时钟信号CLR:INSTD_LOGIC;--清零信号ENA:INSTD_LOGIC;--计数使能信号CQ:OUTINTEGERRANGE0TO15;--4位计数结果输出CARRY_OUT:OUTSTD_LOGIC);--计数进位ENDCNT10;。。。。。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-06-04 11:06:24

做为一个作业挺适合的,对初学者有帮助