verilog编写的流水线cpu

baidu_86626 50 0 RAR 2019-06-05 04:06:07

本科组成原理实验课程作业verilog编写的可执行22条指令的流水线CPU,不涉及缓存。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-06-05 04:06:07

学习一下流水,不过好久不写verilog了,有点看不懂了。

Generic placeholder image 卡了网匿名网友 2019-06-05 04:06:07

不错,虽然有的细节不完善

Generic placeholder image 卡了网匿名网友 2019-06-05 04:06:07

不错不错,作者辛苦了

Generic placeholder image 卡了网匿名网友 2019-06-05 04:06:07

状态机 不懂

Generic placeholder image 卡了网匿名网友 2019-06-05 04:06:07

有结构图不错~~

Generic placeholder image 卡了网匿名网友 2019-06-05 04:06:07

结构图很给力,谢谢了

Generic placeholder image 卡了网匿名网友 2019-06-05 04:06:07

代码不错,有文档说明,有结构图,就是端口的名字起的太乱了,应该按照书上的名字就好了

Generic placeholder image 卡了网匿名网友 2019-06-05 04:06:07

有code有文档还有结构图,不错,学习了!