Verilog移位调整实现二进制转BCD码

jinxinwork 53 0 RAR 2018-12-07 13:12:21

Verilog中用移位及调整的方法实现二进制转BCD码源码。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2018-12-07 13:12:21

还可以,挺好的

Generic placeholder image 卡了网匿名网友 2018-12-07 13:12:21

基于FPGA的用Verilog语言写的二进制转BCD码,具有一定参考价值。