怎样写testbench

cylove44817 46 0 DOC 2019-06-28 05:06:10

怎样写testbench怎样写testbench本文的实际编程环境:ISE6.2i.03ModelSim5.8SESynplifyPro7.6编程语言VHDL在ISE中调用ModelSim进行仿真一、基本概念和基础知识Testbench不仅要产生激励也就是输入,还要验证响应也就是输出。当然也可以只产生激励,然后通过波形窗口通过人工的方法去验证波形,这种方法只能适用于小规模的设计。在ISE环境中,当前资源操作窗显示了资源管理窗口中选中的资源文件能进行的相关操作。在资源管理窗口选中了testbench文件后,在当前资源操作窗显示的ModelSimSimulator中显示了4种能进行的模拟操作,分别是:SimulatorBehavioralModel(功能仿真)、SimulatorPost-translateVHDLModel(翻译后仿真)、SimulatorPost-MapVHDLModel(映射后仿真)、SimulatorPost-Place&RouteVHDLModel(布局布线后仿真)。如图1所示:图1lSimulatorBehavioralModel也就是所说的功能仿真、行为仿真、前仿真。验证功能是否正确,这是设计的第一步。功能仿真正确的程序不一定能被正确综合,也就是硬件实

怎样写testbench

怎样写testbench

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-06-28 05:06:10

讲的还是挺有用的啊