FPGA VHDL语言篮球计分器

Sevvvvv 27 0 ZIP 2019-07-08 01:07:08

基于FPGA的篮球计分器,使用VHDL语言,具有计分功能,计时功能,小节显示功能,本人课程设计作品,亲自调试。

用户评论
请输入评论内容
评分:
暂无评论