基于VHDL的1/100s计时器的设计

qq_65662 19 0 PDF 2019-07-12 15:07:56

这是一基于硬件描述语言的数字电路设计,采用EDA自上而下的设计方法,运用quartusII软件平台,用FPGA验证。

用户评论
请输入评论内容
评分:
暂无评论