基带码发生器程序设计与仿真

june_lin 18 0 DOC 2019-07-13 22:07:08

基于VHDL硬件描述语言的基带码发生器程序设计与仿真。包括非归零、单极性归零、双极性归零、交替极性、差分、分相码(曼彻斯特码)等。

用户评论
请输入评论内容
评分:
暂无评论