Xilinx ISE13.1 下FPGA开发的研究---基于Spartan 3E starter kit

hs5708 37 0 PDF 2018-12-25 18:12:18

在Spartan 3E starter kit平台上采用microblaze软核搭建了一个信号录放器,里面有详细的实验过程。屮国地质大学武汉机械与电子信息学院测控技术与仪器系摘要基于现场可编程逻辑门阵列()的与基于的相比具有风险小、开发周期短、成本低、可擦写等优点,是当今业界系统解决方案最具发展潜力的趋势之一是全球领先的可编程逻辑完整解决方案的供应商之¨,目前满足了全世界对产品一半以上的需求。是主要的逻辑设计软件,其他软件的具体实现功能都依附于主要对一个综合后的网表进行布局布线的规划,是主要的嵌入式便件平台开发工只,是主要的嵌入式软件开发工只。在本次设计中我⊥要是用以 spartan-3 E Starter Kit为平台,熟悉Xnx最新版集成开发坏境IE131下各个开发工具的使用,并熟练掌握Xnx公司FGA的开发流程和用户IP的没计流程,最后用学习板上的己有资源构建一个信号录放器。通过本次毕业设计我熟练掌握了Ⅻinx最新版集成开发环境IsE131中各软作的使用,成功设计了流水灯、用户IP,并利用板载AMP、ADC、DAC构建了信号发生器关键词, Microblaze,信号录放器屮国地质大学武汉机械与电子信息学院测控技术与仪器系ISE13 1 latest edition of the integrated development屮国地质大学武汉机械与电子信息学院测控技术与仪器系目录第一章绪论§1.1 KILINX的FGA简介§1.2 SPARTAN-3 E STARTER KII简介§1.3设计仟务要求第二章ISE的设计流程§2.1ISE简介§2.2SE的设计流程第三章EDK工程设计流程§3.1EDK介绍§3.2 MICROBLAZE简介§3.3EDK软件设计流程第四章案例分析1.1ISE环境下的流水灯设计§4.2用户IP(PWM)的开发4.2.1建一个ED设计4.2.2加入自定义的IP(U5erpm)4.2.3修改分户IP实现功能测试自定火§4.3信号录放器的构建4.3,1信号录放器的系统线物下的教按设4.3.3SD下的应件设计基于的上位机设计测试数据第五章结论第六章总结致谢参考文献附录屮国地质大学武汉机械与电子信息学院测控技术与仪器系第一章绪论FPGA采用了逻辑单元阵列LCA( Logic cell array)这样一个概念,内部包括可配置逻辑模块CLB( Configurable logic blσck)、输岀输入模块ⅠOB(Inυ ut Output block和内部连线( Interconnect)三个部分现场可编程门阵列(FPA)是可编程器件。与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构,FPGA利用小型查找衣(16×1RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动1/0,由此构成了即可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单兄模块,这些模块间利用金属连线与相连接或连接到I/0模块。FGA的逻辑是通过内部静态存储单元加载稈数据来实现的,存储在存储器单元中的值决定了逻辑巢的逻辑功能以及个模块之间或模块与10间的连接方式和逻辑单元的逻辑功能以交各模块之间或模块与I/0间的联接方式,并最终决定了FPGA所能实现的功能。FGA具有风险小、开发周期短、成本低、可擦写等优点,是当◇系统解决方案最具发展潜力的趋势之一。§1.1xi1inx的FGA简介Xilinx是全球领先的可编程逻辑完整解决方亲的供应商。Xi1inx研发、制造并销售范围广泛的高级集成电路、软件设计上具以及作为预定义系统级功能的P( ntellectual Property)核。客户使用 Xilinx及其合作伙伴的自动化软件工具和P核对器件进行编程,从而完成特定的逻辑捰作目前 Xilinx满足了全世界对FA产品一半以上的需求 Xilinx产品线还包括复杂可编程逻辑器件(CPD),在某些控剑应用方面CPD通常比FPGA速度快,但其提供的逻辑资源较少Xilinx可编程逻辑解决方短了电了设备制避商开发产品的时间并加快了产品面市的速度,从而减小了制造商的风险》与采用传统方法如固定逻辑门阵列相比,利用Xi1inx可编程器件,客户可以更快地设让和验证他们的电路。而且,由于X1inx器件是只需要进行编程的标准部件,客户不需要像采定逻辑芯片时那样等待样品或者付出巨额成木。X1inx产品已经被广泛应用于从无线电遇基站到DVD择放机的数字电子应用技术中。传统的半导体公司只有几百个客户,而 Xilinx全世界有7,500多家客广及50,000多个设计开端。其客户包括 Alcatel, CiscoSystems, EMC, Ericsson, Fujitsu, Hewlett-Packard, IBM, Lucent Technologies, Motorola,NE小Okia, Nortel, Samsung, Siemens,Sony, Sun Microsystems以及 Toshiba。Xilinx的主流FPGA分为两大类,一种侧重低成木应用,容量中等,性能可以满足一般的逻辑设计要求,如 Spartan系列,该类FPGA虽然成本比较低廉,但是总体性能指标不是很优秀,适合低成本应用场合,是Ki1inx未来几年在低端IPGA市场上的主要点品;还有一种侧重于高性能应用,容量大,性能能满足各类高端应用,如 Virtex系列,* irtex-ⅠI是2002年推出的,0.15um工艺,1.5内核,大规模高端FPGA产品,* Virtex- II pro:基于 Virtex∏的结构,内部集成CPU和晑速接∏的HPGA产品,* Virtex-4: xilinx最新代高端PGA产品,包含三个子系列:LX,SX,FX, irtcxIl-Pro各项指标比上一代 Virtexii均有很大提高,获得2005年EDN杂志最佳产品称号,从2005年年底开始批量生产,将逐步取代 VirtexiI, Virtex pro,是未来几年 Xilinx在高端FPGA市场中的最重要的产品。针对Ⅹ linx各个型号FPGA产品的特屮国地质大学武汉机械与电子信息学院测控技术与仪器系点用户可以根据自己实际应用要求进行选择。§1.2 spartan-3 e starter kit简介spartan-3 E Starter kit具有 Spartan-3 E FPGA系列突出的独特特点为嵌入式处理发展与应用提供了很大的方便, Spartan-3并行 NOR Flash配置,通过并行 NOR FLash Prom实现FPGA的多种配置方式,它能灾现的嵌入式系统有32-bit嵌入RISC处理器 Microblaze甽和8-bit的 Picoblaze",它具有DR存储器接口,其更先进的地方在于配了额外的外设和FGA逻辑木设计中使用的为Xi1inx提供的 Spartan3E- Starter kit开发板。ITAGeSystem ACE ControllerGPIO (LED DIP Switch)PLL COckerPlatform FlashGenerator pluseUser oscillatoreRS-23DDR SDRAMNartan 3E-Starter kityDual Ps 2eFPGA+RI4S0100Ethemet PHY+ITAG1x2Character lcdsXGI Header图1-1paan3 E-Starter kit开发板的方框图其主要的元件及其特性"如不所小1)GS500E(Sart3e):多达232个用户1/0口,320个FPA封装管脚,超过1000个逻辑单元2)Mbit的Pash配置PROM3)64个去彰元的XC2C64 a CoolRunner cpld4)643Byte(512Mit)的 DDR SDRAM,×16的数据接口,100Mz;86Myte(128Mit)的并行 NOR Flash( Intel strataflash)FPGA配置存储,Micr0B多ze代码存储-射6)16 Mbits of spiserial flash( STMicro)FPGA配置存储, Microblaze代码存储/映射;7)16字符一2线式LCD显示屏8)PS/2鼠标或键盘接口;9)VGA显示接口10)10/100以太PIY(要求FPGA内部具有以太MAC);11)2个9一管脚的RS-232端口(DTE和DCE两和类型);12) FPGA/CPLI下载/调试USB接口;13)50Hz时钟晶振;14)1线式的SHA-1位流复制保护串行 EEPROM屮国地质大学武汉机械与电子信息学院测控技术与仪器系15) HirosefX2扩展连接冂1;16)3个管脚扩展连接器17)4个 SPI DAC转换器输出管脚;18)2个SPⅠ带可编程增益ADC输入管脚19) ChIPScope软件调试接口;20)带按钮的旋转编码器1)8个单独的LED输出22)4个滑动开关23)4个按钮开关;24)SMA时钟输入;)管脚插槽辅助晶振对于主芯片,有必要做一更加详尽的说明。基于架构,来用技术,层金属工艺,系统门数超过百万,内嵌了硬核乘法器和数字时钟管理模块。从结构上看,将逻辑、存储器、数学运算、数字处理器处理器、以及系统管理资源完美地结合在一起,使之有更高层次、更广泛的应用,获得了商业上的成功,话了较大份额的低端市场。系列主要特性如下采用工艺,密度高达逻辑单元最高系统时钟为具有专用乘法器核电压为,端口电压为持种标准高达分布式和的块只有片上时钟管理模块();具有嵌入式功能,每秒可执亿次乘加§3设计任务要求设计内容用构建一个信号录放器。设计要求对的学。应用有浓厚的兴趣,并对的开发有一定的基础。三、达到的指标()学会开发环境的安装、构建学会开发流程;学会下的开发流程;()用板载记录双通道输入信号,存在板载()用板载输出记录的输入信号。四、最终检查项目:开发流程的讲解;()信号录放器的演小屮国地质大学武汉机械与电子信息学院测控技术与仪器系第二章ISE的设计流程ⅠSE是 linx进行硬件改计的主要软件平台,熟练的掌握ISE下FPGA硬件措述语言的开发流程,对于我们进行 Xilinx的FPGA开发至关重要,而且在EDK片上系统设计中复杂的用户自定义i均应该在ISE环境中设计实现。§2.1ISE简介的开发工具不断升级,由早期的系列逐步发展到目前的它集成了开发需要的所有功能,其主要特点包括以下几点:()全面支持系列器件;()提供了新型技术,大大缩短了实现时间,能在最短时间提供最高的性能,提供了一个功能强大的设计收敛坏境;技术可并行完成设计,利用分布式处理和多层实施策略来提高设计性能()添加了基于策略的设计方法;)映射、布局布线过程都支持两种模式和()在一个工程中可以添加多个用户约束文件),可为不同等级的各个模块灵活添加各类约束;()自动生成脚本。具有界面友好、操作简单的特点O加上芯片占有很大的市场,使其成为非常通用的1具软此外,作为接门开发的设计工具集合,与第三方软件取长补短,使软件功能越来越强大,为用户提供了更加丰富的开发平台。§2.2ISE的设计流程6IS中硬啡攆述语言系统的设计主要包括图形或文本输入、综合、实现、验证、下载等步骤,下面对其进行分别介绍。1)图形或文本输入( Design Entry)图形或文本输入包括原理图、状态机、波形图、硬件描述语言(),是⊥程设计的第步,集成的设计工具主要包括编辑器()、状态机编辑器(原理图编辑器()、核生成器(和测试激励生成器(常用的改计输入方法是硬件描述语言()和原理图设计输入方法。原理图输入是一种常用的基本的输入方法,其是利用元件库的图形符号和连接线在软件的图形编辑器中作出设计原理图,中设置了具有各种电路元件的元件库,包括各种门电路、触发器、锁存器、计数器、各种中规模电路、各种功能较强的宏功能块等用户只要点击这些器件就能调入图形编辑器中。这种方法的优点是直观、便于理解、元件库资源丰富。但是在大型设计中,这种方法的可维护性差,不利」模块建设与重用。更主要的缺点是:当所选用芯片升缴换代后,所有的原理图都要作相应的改动。故在软件中一般不利用此种方法。屮国地质大学武汉机械与电子信息学院测控技术与仪器系为了克服原理图输入方法的缺点,目前在大型工程设计中,在ⅠSE软件中常用的设计方法是HDL设计输入法,其中影响最为广泛的HDL语言是VHDL和 Verilog hdl。它们的共同优点是利于由顶冋下设计,利于模块的划分与复用,可移植性好,通用性强,设计不因芯片的工艺和结构的变化而变化,更利于向ASIC的移植,故在ISE软件中推荐使用HDL设计输入法波形输入及状态机输入方法是两种最常用的辅助设计输入方法,使用波形输入法吋,只要绘制岀激励波形的输岀波形,软件就能自动地根据响应关系进行设计:而使用状态机输入时,只需设计者画出状态转移图,软件就能生成相应的代码或者原理图,使用十分方便。其中工只包中的就能完成状态机输入的功能。但是需要指出的是,后两种设计方法只能在某些特殊情况下缓解设计者的工作量,并不适合所有的设计。)综合综合是将行为和功能层次表达的电子系统转化为低层次模块的组合。一股来说家合是针对来说的,即将描述的模型、算法、行为和功能描述转换为基本结构相对应的网表文件,即构成对应的映射关系。在中,综合工具⊥要有公司的公司的公司的②和中的等,它们是指将语言、原理图等设计输入翻详成由与、或、非门,,寄存器等基本逻辑单元组成的逻辑连接(网表),并根据目标与要求优化所形成的逻辑连接,输出和等文件,供厂家的布局布线器进行实现○实现实现是根据所选的芯片的型号将综合输出的逻辑网表适配到具体器件上。的实现过程分为:翻详()、映射()、布扃布线()等个步骤集成的实现工具上要有约束编辑器()、引脚与区域约束编辑器()时序分析器(底层编辑器()、芯片观察窗(和布局规划器()等)验证(验证包含综合后真和功能仿真()等。功能仿真就是对设计电路的逻辑功能进行模拟测试,看其是个满足设计要求,通常是通过波形图直观地示输入信号与输出信号之间的关系。综合后仿真在针标器件进行适配之后进行,综合后仿真接近真实器件的特性进行,能精确给出输入与输之间的信号延时数据可结合第方软件进行仿真,常用的工具如公司的仿真工具和测试激励生成公司的等。通过仿真能及时发现设计中的错误,加快设计⊕的错误,加快设计进度,提扃设计的可靠性。每个仿貞步骤如果出现问题,就需要根据错的定位返回到相应的步骤更改或者重新设计。)下载(下载()即编程()设计开发的最后步骤就是将凵经仿真实现的程序卜载到开发板上,进行在线调试或者说将生成的配置文件写入芯片中进行测试。在中对应的上具是

用户评论
请输入评论内容
评分:
暂无评论