FPGA交通灯VHDL设计

aler34119 39 0 PDF 2019-08-19 14:08:21

基于交通灯VHDL设计,很实用。对于十字路口交通灯的设计。有红黄绿三种灯,不同的工作状态

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-08-19 14:08:21

代码不完整

Generic placeholder image 卡了网匿名网友 2019-08-19 14:08:21

只是一个设计框架,并没有完整代码