VHDL语言实现多路选择器

yangyangyang2539 29 0 RAR 2019-09-27 15:09:45

多路选择器和多路分配器是数字系统中常用的中规模集成电路。其基本功能是完成对多路数据的选择与分配、在公共传输线上实现多路数据的分时传送。此外,还可完成数据的并-串转换、序列信号产生等多种逻辑功能以及实现各种逻辑函数功能。因而,属于通用中规模集成电路。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-09-27 15:09:45

代码基本是对的!还行!

Generic placeholder image 卡了网匿名网友 2019-09-27 15:09:45

代码基本是对的!还行!

Generic placeholder image 卡了网匿名网友 2019-09-27 15:09:45

写的不怎么样,有点失望