基于VHDL的VGA屏幕显示控制程序

coffebearwater 23 0 RAR 2020-01-11 20:01:56

采用VHDL语言写的VGA屏幕显示控制程序,通过电阻分压网络可以显示256种颜色,并可以在屏幕上移动。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2020-01-11 20:01:56

内容挺实用的,但是需要一定的挖掘。

Generic placeholder image 卡了网匿名网友 2020-01-11 20:01:56

Generic placeholder image 卡了网匿名网友 2020-01-11 20:01:56

谢谢 最近很需要这个 在研究

Generic placeholder image 卡了网匿名网友 2020-01-11 20:01:56

Generic placeholder image 卡了网匿名网友 2020-01-11 20:01:56

好像不能移动

Generic placeholder image 卡了网匿名网友 2020-01-11 20:01:56

Generic placeholder image 卡了网匿名网友 2020-01-11 20:01:56

好像没有运行成功

Generic placeholder image 卡了网匿名网友 2020-01-11 20:01:56

Generic placeholder image 卡了网匿名网友 2020-01-11 20:01:56

谢谢 最近很需要

Generic placeholder image 卡了网匿名网友 2020-01-11 20:01:56