七段数码管显示程序x7seg.v

lenehoo 33 0 V 2020-05-12 23:05:06

这是用verilog语言写的七段数码管显示程序,所用板子为Basys2

用户评论
请输入评论内容
评分:
暂无评论