vhdl语言 全加器

腾爱 17 0 DOC 2020-05-14 20:05:20

全加器的逻辑表达式 S=A⊕B⊕Cin Co=(A⊕B)Cin+AB

用户评论
请输入评论内容
评分:
暂无评论