library lpm;use lpm.lpm_components.all;library ieee;use ieee.std_logic_1164.all;entity lpm_inst is port (clock, we: in std_logic; data : in std_logic_vector(3 downto 0); address : in std_logic_vector(3 downto 0); q : out std_logic_vector (3 downto 0));end lpm_in