基于FPGA的全数字锁相环设计

jiajinning 22 0 PDF 2020-07-16 18:07:40

介绍了应用VHDL技术设计嵌入式全数字锁相环路的方法。详细叙述了其工作和设计思想,必用可编程逻辑器件fpga于以实现。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2020-07-16 18:07:41

看来一下,程序跟我找到的原理类似,感觉还行