模拟信号转数字信号的 vhdl转换程序

wanghao28574 30 0 ZIP 2019-01-01 21:01:58

该文件是xilinx的cpld的adc 的转换程序,使用的语言室vhdl,处理的是16的数据

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-01-01 21:01:00

很不错的资料,很好的VHDL学习代码!!