FPGA LCD驱动1602

清澈。初见 18 0 RAR 2020-08-19 06:08:17

FPGA对LCD驱动显示,采用1602LCD,ISE环境,verilog语言,已在硬件平台上实现

用户评论
请输入评论内容
评分:
暂无评论