FPGA之altera浮点IP核的仿真使用

bingo~~ 14 0 PDF 2020-08-21 04:08:50

近期的项 目要使用到整型数据转浮点型数据,将16位的整数转换为单精度浮点数(32bit)。Quartus II软件中也给我们免费提供了专用的浮点转换IP,因此就直接使用该IP核来进行设计。

用户评论
请输入评论内容
评分:
暂无评论