EDA/PLD中的EDA中的电梯控制器的系统设计方案

小李ABC 25 0 PDF 2020-11-17 11:11:24

根据系统设计要求,并考虑到系统的可验证性,整个系统的输入输出接口设计如图1所示:系统工作用2 Hz基准时钟信号CLKIN,楼层上升请求键UPIN,楼层下降请求键DOWNIN,楼层选择键入键ST_CH,提前关门输入键CLOSE,延迟关门输入键DELAY,电梯运行的开关键RUN_STOP,电梯运行或停止指示键LAMP,电梯运行或等待时间指示键RUN_WAIT,电梯所在楼层指示数码管ST_OUT,楼层选择指示数码管DIRECT。 如图1 电梯控制器DTKZQ的输入输出接口图 电梯的控制状态包括运行状态、停止状态及等待状态,其中运行状态又包含向上状态和向下状态。主要动作有开、关门,停靠

用户评论
请输入评论内容
评分:
暂无评论