VHDL代码心得4 计数器
今天偶尔发现了一个异常简洁且工整的计数器,同时也犯了一个很典型的错误,于是记录下来。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity time_out is port( clk,rst_n,en:in std_logic; num_in0,num_in1,num_in2,num_in3,num_in4,num_in5:in std_logic_vector(3 downto 0); num0,num1,num2,num3,num4,num5:out std_logic_
用户评论
推荐下载
-
源代码计算行数计数器
用于计算源代码行数工作,支持java、js、html、C、C#啊等等等等等等等等源码的函数计算,以及工作量计算等。
15 2020-07-23 -
环形计数器扭环计数器内含文档及verilog HDL代码
移位型计数器包括环形计数器以及扭环计数器,原理差不多,几乎相同,但又各自有各自的精彩之处。本资源包括二者的Verilog HDL设计代码以及文档分析。
31 2018-12-08 -
VC计数器计算器代码
基于VC++平台的MFC程序,编写了一个计数器和计算器在一个界面的对话框。
25 2019-01-09 -
基于LatticeXP3CQ208的计数器设计源代码VHDL
基于Lattice XP3CQ208的计数器设计源代码(VHDL)
27 2019-02-24 -
可预置可逆4位计数器
文章是利用AT89S51单片机来设计可预置可逆4位计数器。
20 2020-09-20 -
基于FGPA的4位计数器
基于FGPA的4位计数器,可以自由设置位数,实现任意位数的计数器。具有以下功能: 1.方向可以设置,正向计数和方向计数 2.模值可以设置 3.计数频率可以调整
5 2020-12-12 -
verilog编写的计数器4种
程序是用verilog编写的计数器模块,里面包含了四种不同控制的计数器,仿真通过!
58 2019-04-26 -
模60计数器通过计数器级联得到
下面描述的是一个模60计数器,该计数器通过将模10计数器和模6计数器级联的方式构成,每当模10计数器计数到1001时,模6计数器就会计数加1,直至计数到60时,即模6计数器到达0101、模10计数器到
108 2018-12-07 -
用集成计数器构成任意进制计数器
用集成计数器构成任意进制计数器 摘 要:通过对计数原理的分析,系统地讨论了用已有的集成计数器产品构成任意N进制计数器的方法。 关键词:计数器;清零;置数;串行进位;并行进位
62 2018-12-07 -
录像机计数器问题计数器时间
对于录像机的计数器的读数与时间的关系,并不是是简单的正比关系,据有关数据检验发现,录像带右轮每分钟所增加的录像带长度即是磁头每分钟所读的录像带长度,而录像机的磁头是匀速工作的,即磁头每分钟所读的磁带长
81 2019-01-21
暂无评论