一位二进制全加减器设计
library ieee; use ieee.std_logic_1164.all; entity addt is port (ain,bin,cin :in std_logic; cout,sum :out std_logic); end entity addt; architecture fd1 of addt is component h_adder port(a,b: in std_logic; co,so:out std_logic); end component; component or2a port(a,b:in std_logic;
文件列表
一位二进制全加/减器设计
(预估有个182文件)
subp.map.cdb
879B
subp.cmp.cdb
2KB
subp.pre_map.cdb
919B
subp.rtlv_sg.cdb
956B
h_sub.bdf
6KB
subp.bdf
7KB
addt.cmp.cdb
2KB
addt.(0).cnf.cdb
767B
addt.rtlv_sg.cdb
1KB
addt.pre_map.cdb
941B
暂无评论