iic总结报告.docx
230KB
iic_rw_ctrl.xdc
396B
div_rill.v
914B
rom_ctrl.v
11KB
iic_rw_ctrl_top.v
6KB
iic_rw_ctrl.v
12KB
24LC64.v
26KB
iic_rw_ctrl_top_tb.v.bak
2KB
iic_rw_ctrl_top_tb.v
2KB
iic_rw_ctrl.xpr
19KB
runme.bat
229B
.vivado.begin.rst
188B
ila_0.dcp
3.74MB
.vivado.end.rst
0B
dont_touch.xdc
2KB
runme.log
404KB
ila_0_utilization_synth.rpt
7KB
rundef.js
1KB
htr.txt
387B
ila_0_utilization_synth.pb
276B
project.wdf
4KB
__synthesis_is_complete__
0B
.Vivado_Synthesis.queue.rst
0B
vivado.jou
709B
vivado.pb
646KB
ila_0.vds
399KB
gen_run.xml
2KB
runme.sh
1KB
ISEWrap.js
7KB
ila_0.tcl
9KB
ISEWrap.sh
2KB
runme.bat
229B
.vivado.begin.rst
188B
clk_wiz_0.dcp
9KB
.vivado.end.rst
0B
dont_touch.xdc
2KB
runme.log
23KB
clk_wiz_0.tcl
9KB
clk_wiz_0_utilization_synth.rpt
7KB
rundef.js
1KB
htr.txt
395B
clk_wiz_0_propImpl.xdc
435B
clk_wiz_0_utilization_synth.pb
276B
clk_wiz_0.vds
23KB
project.wdf
4KB
__synthesis_is_complete__
0B
.Vivado_Synthesis.queue.rst
0B
vivado.jou
736B
vivado.pb
37KB
gen_run.xml
2KB
runme.sh
1KB
ISEWrap.js
7KB
ISEWrap.sh
2KB
runme.bat
229B
.vivado.begin.rst
189B
.vivado.end.rst
0B
runme.log
55KB
rundef.js
1KB
htr.txt
387B
vio_0_utilization_synth.pb
276B
vio_0.tcl
9KB
project.wdf
4KB
__synthesis_is_complete__
0B
.Vivado_Synthesis.queue.rst
0B
vio_0_utilization_synth.rpt
7KB
vivado.jou
709B
vivado.pb
88KB
gen_run.xml
2KB
runme.sh
1KB
ISEWrap.js
7KB
vio_0.dcp
128KB
vio_0.vds
54KB
ISEWrap.sh
2KB
runme.bat
229B
.vivado.begin.rst
188B
iic_rw_ctrl_top_timing_summary_routed.pb
112B
iic_rw_ctrl_top_methodology_drc_routed.rpx
24KB
.route_design.begin.rst
189B
iic_rw_ctrl_top_routed.dcp
15.05MB
iic_rw_ctrl_top_power_summary_routed.pb
728B
iic_rw_ctrl_top_utilization_placed.rpt
10KB
iic_rw_ctrl_top_methodology_drc_routed.pb
52B
init_design.pb
8KB
.vivado.end.rst
0B
iic_rw_ctrl_top_utilization_placed.pb
276B
.init_design.end.rst
0B
iic_rw_ctrl_top_power_routed.rpx
11.61MB
iic_rw_ctrl_top_placed.dcp
12.75MB
runme.log
39KB
iic_rw_ctrl_top_timing_summary_routed.rpx
682KB
rundef.js
1KB
.opt_design.begin.rst
189B
.write_bitstream.begin.rst
189B
htr.txt
415B
.Vivado_Implementation.queue.rst
0B
iic_rw_ctrl_top.vdi
39KB
messagePromote.pb
166KB
iic_rw_ctrl_top_drc_routed.pb
75B
iic_rw_ctrl_top_control_sets_placed.rpt
327KB
iic_rw_ctrl_top.tcl
7KB
.write_bitstream.end.rst
0B
iic_rw_ctrl_top_power_routed.rpt
10KB
usage_statistics_webtalk.html
301KB
write_bitstream.pb
8KB
iic_rw_ctrl_top_bus_skew_routed.rpx
106KB
route_design.pb
17KB
iic_rw_ctrl_top_bus_skew_routed.pb
36B
iic_rw_ctrl_top_drc_routed.rpx
6KB
iic_rw_ctrl_top_route_status.pb
45B
iic_rw_ctrl_top.bit
3.65MB
.place_design.begin.rst
189B
.route_design.end.rst
0B
project.wdf
4KB
iic_rw_ctrl_top_drc_opted.pb
37B
usage_statistics_webtalk.xml
524KB
iic_rw_ctrl_top_timing_summary_routed.rpt
706KB
iic_rw_ctrl_top_drc_opted.rpx
2KB
iic_rw_ctrl_top_io_placed.rpt
144KB
.opt_design.end.rst
0B
vivado.jou
735B
vivado.pb
149B
opt_design.pb
15KB
.init_design.begin.rst
189B
iic_rw_ctrl_top_clock_utilization_routed.rpt
28KB
iic_rw_ctrl_top_methodology_drc_routed.rpt
13KB
gen_run.xml
8KB
runme.sh
1KB
iic_rw_ctrl_top_drc_routed.rpt
4KB
debug_nets.ltx
274KB
.place_design.end.rst
0B
iic_rw_ctrl_top_bus_skew_routed.rpt
67KB
iic_rw_ctrl_top.ltx
274KB
iic_rw_ctrl_top_opt.dcp
8.08MB
iic_rw_ctrl_top_drc_opted.rpt
2KB
place_design.pb
17KB
ISEWrap.js
7KB
ISEWrap.sh
2KB
iic_rw_ctrl_top_route_status.rpt
651B
vrs_config_1.xml
324B
vrs_config_3.xml
324B
vrs_config_4.xml
332B
vrs_config_5.xml
514B
vrs_config_2.xml
324B
vrs_config_6.xml
514B
runme.bat
229B
.vivado.begin.rst
189B
.vivado.end.rst
0B
dont_touch.xdc
2KB
runme.log
395KB
ila_1_utilization_synth.pb
276B
rundef.js
1KB
ila_1.vds
391KB
htr.txt
387B
ila_1.dcp
3.22MB
project.wdf
4KB
__synthesis_is_complete__
0B
.Vivado_Synthesis.queue.rst
0B
vivado.jou
709B
vivado.pb
644KB
ila_1_utilization_synth.rpt
7KB
gen_run.xml
2KB
runme.sh
1KB
ISEWrap.js
7KB
ila_1.tcl
9KB
ISEWrap.sh
2KB
runme.bat
229B
.vivado.begin.rst
188B
.vivado.end.rst
0B
runme.log
64KB
iic_rw_ctrl_top.vds
63KB
rundef.js
1KB
htr.txt
407B
iic_rw_ctrl_top_propImpl.xdc
596B
iic_rw_ctrl_top.tcl
5KB
iic_rw_ctrl_top.dcp
220KB
__synthesis_is_complete__
0B
.Vivado_Synthesis.queue.rst
0B
vivado.jou
730B
vivado.pb
105KB
gen_run.xml
3KB
iic_rw_ctrl_top_utilization_synth.rpt
7KB
runme.sh
1KB
iic_rw_ctrl_top_utilization_synth.pb
276B
ISEWrap.js
7KB
ISEWrap.sh
2KB
mmcm_pll_drp_func_us_pll.vh
18KB
mmcm_pll_drp_func_7s_mmcm.vh
24KB
mmcm_pll_drp_func_us_plus_mmcm.vh
31KB
mmcm_pll_drp_func_us_plus_pll.vh
19KB
mmcm_pll_drp_func_us_mmcm.vh
24KB
mmcm_pll_drp_func_7s_pll.vh
19KB
README.txt
130B
glbl.v
1KB
simulate.do
287B
vio_0.sh
5KB
compile.do
382B
README.txt
2KB
file_info.txt
259B
vio_0.udo
0B
wave.do
32B
glbl.v
1KB
simulate.do
11B
vio_0.sh
6KB
README.txt
2KB
file_info.txt
259B
elab.opt
180B
xsim.ini
22KB
cmd.tcl
464B
glbl.v
1KB
vio_0.sh
6KB
vlog.prj
272B
README.txt
2KB
file_info.txt
259B
README.txt
3KB
glbl.v
1KB
simulate.do
296B
vio_0.sh
5KB
compile.do
428B
README.txt
2KB
file_info.txt
259B
vio_0.udo
0B
wave.do
32B
glbl.v
1KB
vio_0.sh
6KB
README.txt
2KB
file_info.txt
259B
run.f
175B
glbl.v
1KB
vio_0.sh
6KB
README.txt
2KB
file_info.txt
259B
run.f
167B
glbl.v
1KB
simulate.do
287B
vio_0.sh
5KB
compile.do
388B
README.txt
2KB
file_info.txt
259B
vio_0.udo
0B
wave.do
32B
elaborate.do
168B
glbl.v
1KB
simulate.do
187B
vio_0.sh
5KB
compile.do
414B
README.txt
2KB
file_info.txt
259B
vio_0.udo
0B
wave.do
32B
glbl.v
1KB
ila_0.sh
5KB
simulate.do
294B
compile.do
681B
README.txt
2KB
file_info.txt
689B
wave.do
32B
ila_0.udo
0B
glbl.v
1KB
ila_0.sh
7KB
simulate.do
11B
README.txt
2KB
file_info.txt
689B
elab.opt
180B
xsim.ini
22KB
cmd.tcl
464B
glbl.v
1KB
ila_0.sh
6KB
vlog.prj
208B
README.txt
2KB
file_info.txt
199B
README.txt
3KB
glbl.v
1KB
ila_0.sh
5KB
simulate.do
303B
compile.do
756B
README.txt
2KB
file_info.txt
689B
wave.do
32B
ila_0.udo
0B
glbl.v
1KB
ila_0.sh
6KB
README.txt
2KB
file_info.txt
689B
run.f
459B
glbl.v
1KB
ila_0.sh
6KB
README.txt
2KB
file_info.txt
689B
run.f
443B
glbl.v
1KB
ila_0.sh
5KB
simulate.do
294B
compile.do
691B
README.txt
2KB
file_info.txt
689B
wave.do
32B
ila_0.udo
0B
elaborate.do
175B
glbl.v
1KB
ila_0.sh
5KB
simulate.do
187B
compile.do
732B
README.txt
2KB
file_info.txt
689B
wave.do
32B
ila_0.udo
0B
glbl.v
1KB
simulate.do
306B
clk_wiz_0.sh
5KB
compile.do
678B
README.txt
2KB
clk_wiz_0.udo
0B
file_info.txt
802B
wave.do
32B
glbl.v
1KB
simulate.do
11B
clk_wiz_0.sh
7KB
README.txt
2KB
file_info.txt
847B
elab.opt
188B
xsim.ini
22KB
cmd.tcl
464B
glbl.v
1KB
clk_wiz_0.sh
6KB
vlog.prj
247B
README.txt
2KB
file_info.txt
378B
README.txt
3KB
glbl.v
1KB
simulate.do
311B
clk_wiz_0.sh
5KB
compile.do
753B
README.txt
2KB
clk_wiz_0.udo
0B
file_info.txt
802B
wave.do
32B
glbl.v
1KB
clk_wiz_0.sh
6KB
README.txt
2KB
file_info.txt
847B
run.f
542B
glbl.v
1KB
clk_wiz_0.sh
6KB
README.txt
2KB
file_info.txt
847B
run.f
526B
glbl.v
1KB
simulate.do
306B
clk_wiz_0.sh
5KB
compile.do
688B
README.txt
2KB
clk_wiz_0.udo
0B
file_info.txt
802B
wave.do
32B
elaborate.do
183B
glbl.v
1KB
simulate.do
195B
clk_wiz_0.sh
5KB
compile.do
729B
README.txt
2KB
clk_wiz_0.udo
0B
file_info.txt
802B
wave.do
32B
ila_1.sh
5KB
glbl.v
1KB
simulate.do
294B
ila_1.udo
0B
compile.do
681B
README.txt
2KB
file_info.txt
689B
wave.do
32B
ila_1.sh
7KB
glbl.v
1KB
simulate.do
11B
README.txt
2KB
file_info.txt
689B
ila_1.sh
6KB
elab.opt
180B
xsim.ini
22KB
cmd.tcl
464B
glbl.v
1KB
vlog.prj
208B
README.txt
2KB
file_info.txt
199B
README.txt
3KB
ila_1.sh
5KB
glbl.v
1KB
simulate.do
303B
ila_1.udo
0B
compile.do
756B
README.txt
2KB
file_info.txt
689B
wave.do
32B
ila_1.sh
6KB
glbl.v
1KB
README.txt
2KB
file_info.txt
689B
run.f
459B
ila_1.sh
6KB
glbl.v
1KB
README.txt
2KB
file_info.txt
689B
run.f
443B
ila_1.sh
5KB
glbl.v
1KB
simulate.do
294B
ila_1.udo
0B
compile.do
691B
README.txt
2KB
file_info.txt
689B
wave.do
32B
elaborate.do
175B
ila_1.sh
5KB
glbl.v
1KB
simulate.do
187B
ila_1.udo
0B
compile.do
732B
README.txt
2KB
file_info.txt
689B
wave.do
32B
vio_0.vho
3KB
vio_0_stub.v
1KB
vio_0_stub.vhdl
1KB
vio_0.veo
3KB
ila_0_stub.v
2KB
ila_0_stub.vhdl
3KB
ila_0.veo
4KB
clk_wiz_0.veo
4KB
clk_wiz_0_stub.vhdl
1KB
clk_wiz_0_stub.v
1KB
ila_1_stub.vhdl
3KB
ila_1.veo
4KB
ila_1_stub.v
2KB
hw.xml
300KB
hw_ila_data_1.wdb
28KB
hw_ila_data_1.wcfg
14KB
hw_ila_data_2.wdb
6KB
hw_ila_data_2.wcfg
15KB
hw_ila_data_1.ila
35KB
iic_rw_ctrl.lpr
343B
vio_0_sim_netlist.vhdl
582KB
vio_0_ooc.xdc
2KB
vio_0.v
50KB
vio_v3_0_changelog.txt
5KB
vio_0.xml
1.25MB
vio_0.vho
3KB
vio_0.v
3KB
vio_0_stub.v
1KB
vio_0_stub.vhdl
1KB
vio_0.xdc
3KB
ltlib_v1_0_0_lib_fn.vh
3KB
xsdbs_v1_0_2_i2x.vh
2KB
xsdbs_v1_0_2_in.vh
3KB
ltlib_v1_0_0_ver.vh
3KB
vio_v3_0_19_vio_include.v
20KB
ltlib_v1_0_vl_rfs.v
89KB
xsdbs_v1_0_vl_rfs.v
37KB
vio_v3_0_syn_rfs.v
375KB
vio_0_sim_netlist.v
326KB
vio_0.dcp
128KB
vio_0.xci
105KB
vio_0.veo
3KB
ila_0.dcp
3.74MB
ila_0.v
142KB
ila_0_sim_netlist.v
7.47MB
ila_0.xci
406KB
ila_v6_2_changelog.txt
6KB
ila_0_stub.v
2KB
ila_0_ooc.xdc
2KB
ila_0.xml
4.53MB
ila_0_stub.vhdl
3KB
ila_impl.xdc
3KB
ila.xdc
27KB
ila_0.v
3KB
ila_0.veo
4KB
ila_0_sim_netlist.vhdl
10.05MB
xsdbm_v3_0_0_bsid_ports.vh
812B
xsdbm_v3_0_0_bs_ext.vh
33KB
xsdbm_v3_0_0_bs_core_vec.vh
31KB
ila_v6_2_8_ila_lparam.vh
1.04MB
ltlib_v1_0_0_lib_fn.vh
3KB
xsdbm_v3_0_0_bs_ports.vh
8KB
xsdbs_v1_0_2_i2x.vh
2KB
xsdbs_v1_0_2_in.vh
3KB
xsdbm_v3_0_0_in.vh
4KB
xsdbm_v3_0_0_bs_core_ext.vh
34KB
ltlib_v1_0_0_ver.vh
3KB
xsdbm_v3_0_0_icn.vh
1KB
xsdbm_v3_0_0_i2x.vh
2KB
xsdbm_v3_0_0_bsid_vec_ports.vh
860B
xsdbm_v3_0_0_bs_vec.vh
30KB
xsdbm_v3_0_0_id_map.vh
7KB
xsdbm_v3_0_0_id_vec_map.vh
7KB
xsdbm_v3_0_0_bs_core.vh
34KB
ila_v6_2_8_ila_in.vh
41KB
ila_v6_2_8_ila_param.vh
104KB
xsdbm_v3_0_0_sl_prt_map.vh
22KB
xsdbm_v3_0_0_bs.vh
33KB
ila_v6_2_8_ila_lib_fn.vh
3KB
ila_v6_2_8_ila_ver.vh
6KB
ila_v6_2_syn_rfs.v
592KB
fifo_generator_v13_1_vhsyn_rfs.vhd
2.32MB
xsdbm_v3_0_vl_rfs.v
660KB
blk_mem_gen_v8_3_vhsyn_rfs.vhd
14.18MB
ltlib_v1_0_vl_rfs.v
89KB
xsdbs_v1_0_vl_rfs.v
37KB
clk_wiz_0.veo
4KB
clk_wiz_0.dcp
9KB
clk_wiz_0_sim_netlist.v
7KB
clk_wiz_0.v
4KB
clk_wiz_0.xdc
3KB
clk_wiz_0_board.xdc
60B
clk_wiz_v6_0_changelog.txt
8KB
clk_wiz_0_sim_netlist.vhdl
7KB
mmcm_pll_drp_func_us_pll.vh
18KB
clk_wiz_0.xml
288KB
clk_wiz_0_stub.vhdl
1KB
mmcm_pll_drp_func_7s_mmcm.vh
24KB
clk_wiz_0_clk_wiz.v
7KB
mmcm_pll_drp_func_us_plus_mmcm.vh
31KB
mmcm_pll_drp_func_us_plus_pll.vh
19KB
mmcm_pll_drp_func_us_mmcm.vh
24KB
clk_wiz_0_ooc.xdc
2KB
mmcm_pll_drp_func_7s_pll.vh
19KB
clk_wiz_0_stub.v
1KB
clk_wiz_0.xci
90KB
ila_1_stub.vhdl
3KB
ila_1.xml
4.53MB
ila_1.veo
4KB
ila_1.v
142KB
ila_v6_2_changelog.txt
6KB
ila_1_sim_netlist.vhdl
8.6MB
ila_1_sim_netlist.v
6.14MB
ila_1.xci
407KB
ila_1.dcp
3.22MB
ila_1_ooc.xdc
2KB
ila_impl.xdc
3KB
ila.xdc
27KB
ila_1.v
3KB
ila_1_stub.v
2KB
xsdbm_v3_0_0_bsid_ports.vh
812B
xsdbm_v3_0_0_bs_ext.vh
33KB
xsdbm_v3_0_0_bs_core_vec.vh
31KB
ila_v6_2_8_ila_lparam.vh
1.04MB
ltlib_v1_0_0_lib_fn.vh
3KB
xsdbm_v3_0_0_bs_ports.vh
8KB
xsdbs_v1_0_2_i2x.vh
2KB
xsdbs_v1_0_2_in.vh
3KB
xsdbm_v3_0_0_in.vh
4KB
xsdbm_v3_0_0_bs_core_ext.vh
34KB
ltlib_v1_0_0_ver.vh
3KB
xsdbm_v3_0_0_icn.vh
1KB
xsdbm_v3_0_0_i2x.vh
2KB
xsdbm_v3_0_0_bsid_vec_ports.vh
860B
xsdbm_v3_0_0_bs_vec.vh
30KB
xsdbm_v3_0_0_id_map.vh
7KB
xsdbm_v3_0_0_id_vec_map.vh
7KB
xsdbm_v3_0_0_bs_core.vh
34KB
ila_v6_2_8_ila_in.vh
41KB
ila_v6_2_8_ila_param.vh
104KB
xsdbm_v3_0_0_sl_prt_map.vh
22KB
xsdbm_v3_0_0_bs.vh
33KB
ila_v6_2_8_ila_lib_fn.vh
3KB
ila_v6_2_8_ila_ver.vh
6KB
ila_v6_2_syn_rfs.v
592KB
fifo_generator_v13_1_vhsyn_rfs.vhd
2.32MB
xsdbm_v3_0_vl_rfs.v
660KB
blk_mem_gen_v8_3_vhsyn_rfs.vhd
14.18MB
ltlib_v1_0_vl_rfs.v
89KB
xsdbs_v1_0_vl_rfs.v
37KB
ila_1_stub.vhdl
3KB
ila_1_sim_netlist.vhdl
9.07MB
ila_1_sim_netlist.v
6.2MB
ila_1.dcp
3.23MB
63ec629fb7316165.xci
389KB
ila_1_stub.v
3KB
vio_0_sim_netlist.vhdl
630KB
fff92d878f6595ae.xci
103KB
vio_0_stub.v
1KB
vio_0_stub.vhdl
2KB
vio_0_sim_netlist.v
327KB
vio_0.dcp
128KB
dbg_hub_stub.v
2KB
dbg_hub_sim_netlist.vhdl
990KB
dbg_hub_stub.vhdl
2KB
230f7eae7132dd74.xci
7KB
dbg_hub.dcp
365KB
dbg_hub_sim_netlist.v
717KB
ila_0.dcp
3.73MB
ila_0_sim_netlist.v
7.54MB
ila_0_stub.v
2KB
ila_0_stub.vhdl
3KB
f70feab25c851fc8.xci
389KB
ila_0_sim_netlist.vhdl
10.53MB
clk_wiz_0.dcp
9KB
clk_wiz_0_sim_netlist.v
7KB
clk_wiz_0_sim_netlist.vhdl
7KB
1e1afb102aad1d83.xci
37KB
clk_wiz_0_stub.vhdl
1KB
clk_wiz_0_stub.v
1KB
java_command_handlers.wdf
723B
webtalk_pa.xml
2KB
project.wpc
121B
gui_handlers.wdf
2KB
synthesis_details.wdf
100B
synthesis.wdf
5KB
暂无评论