vhdl任意整数分频模块

1240码转2421码 55 0 RAR 2018-12-08 07:12:43

vhdl任意整数分频模块,功能:对输入时钟clock进行F_DIV倍分频后输出clk_out。,--//若分频系数为偶数,则输出时钟占空比为50%; --//若分频系数为奇数,则输出时钟占空比取决于输入时钟占空比和分 --//频系数(当输入为50%时,输出也是50%)。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2018-12-08 07:12:43

要自己修改 不过还可以

Generic placeholder image 卡了网匿名网友 2018-12-08 07:12:43

挺实用的,帮我完成了作业