设计一个以电源调整管为主要器件的电子保护电路 本项目是设计一个主流电源,重点是过流保护。电源的输出直流电压15V---17V,额定输出电流300mA,设计保护电流300mA,即当电源输出电流超过300mA时,保护电路动作,断开电源与负载的连接。
跑表存3个数据暂停复位 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity timer is port(rst,en,clk,clk1s:in std