image
geniussylong

这家伙很懒,什么也没写

Ta上传的资源(0)个

FPGA串口驱动

FPGA的串口驱动,用VHDL编写,基于RS232通信协议

其他 19 0 RAR 2020-02-07 05:02:52

FPGA VGA驱动

fpga的VGA驱动,测试可用。扫描分辨率可以自己更改,不同晶振可能需要写个分频函数!

硬件开发 32 0 RAR 2019-10-23 17:10:44