image
weixin_64634863

这家伙很懒,什么也没写

Ta上传的资源(0)个

VHDL VHDL二层电梯控制器

使用VHDL语言编写的二层电梯控制器。 使用状态机。使用点阵显示楼层、电梯上、下行,开门关门等状态。

硬件开发 36 0 TXT 2018-12-16 04:12:22

OpenCV_基础篇_实例源代码北航

北航出版社出版的OpenCV基础篇一书中的实例源代码

其他 40 0 RAR 2018-12-07 11:12:54