智力抢答器VHDL设计

biti900 62 0 RAR 2018-12-09 17:12:38

这个设计主要是用VHDL语言编程,实现四路智力抢答器。 输入:总共有八个按键输入,其中开始键(start)、复位键(reset)、加分键(up)和减分键(dn)由主持人控制;另外还有四个选手的抢答按键。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2018-12-09 17:12:38

只看了vhdl的资源,很好,稍微有点小问题,简单修改一下就可以了,谢谢分享