任意N位和M位的乘法器VHDL实现(代码)

巴啦啦4 23 0 RAR 2019-05-01 09:05:16

我是2014级复旦的研究生。这是用VHDL语言设计的任意的M乘以N位的乘法器。设计中,被乘数和乘数的位数是通过参数来设置的,可由你来修改。我已写好了testbench。可放心使用。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-05-01 09:05:16

感谢,超棒的资源!