数字秒表数字秒表的VHDL语言的程序设计

daanlai 78 0 DOC 2019-05-28 01:05:42

数字秒表的VHDL语言的程序设计EDA2008-06-1522:14阅读675评论2字号:大中小数字秒表的VHDL语言的程序设计本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-05-28 01:05:42

没有我要的功能,如果有像体育用地秒表一样有多个记录的功能就好了