verilog实现任意频率的正弦波dds

zazem 27 0 RAR 2019-07-16 21:07:14

此代码为通过verilog实现任意频率的正弦波,代码已经仿真过,可以使用(diamond)。里面有调用ROM核,此核可根据不同厂家的fpga芯片更换,ROM核里面存储的正弦表通过matlab生成,也可通过matlab生成其他的波形表

用户评论
请输入评论内容
评分:
暂无评论