FPGA数字信号处理(六)直接型IIR滤波器Verilog设计

Vino_lai 31 0 7Z 2020-05-24 13:05:54

使用Vivado完成直接型结构IIR滤波器VerilogHDL设计,含testbench与仿真,仿真结果优秀;具体说明可参考本人博客。CSDN博客搜索:FPGADesigner

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2020-05-24 13:05:54

正在使用IIR来做处理,下来看看

Generic placeholder image 卡了网匿名网友 2020-05-24 13:05:54

Generic placeholder image 卡了网匿名网友 2020-05-24 13:05:54

拿来试了试,可以玩一下

Generic placeholder image 卡了网匿名网友 2020-05-24 13:05:54

版本无所谓的,自己弄一下就行了

Generic placeholder image 卡了网匿名网友 2020-05-24 13:05:54

有没有quartsII版的