用VERILOG编写的IIC协议

d115626194 43 0 V 2018-12-29 07:12:48

本资料只用于IIC的初学者,代码就没啥风格,但是比较实用。

用户评论
请输入评论内容
评分:
暂无评论