FPGA二值图像边界提取算法实现

hnstorm 25 0 PDF 2020-07-16 09:07:21

1 背景知识 图1 二值图像边界提取演示 如图1 所示,图1 a为一幅简单的二值图像,经过边界提取后形成如图1 b 所示的图像,显示出了白色区域的轮廓。 2 边界提取算法 使用黑色提取,背景为白色,‘1’表示白色,‘0’表示黑色。 图2 二值图像边界提取演示 我们使用3x3模板进行边界提取,所以当3x3九个点都是‘1’的时候,输出为‘1’,当九个点都是‘0’的时候,输出为‘1’,其他情况输出均为‘0’。 3 FPGA二值图像边界提取算法实现 图2中我们使用串口传图传入的是二值图像。FPGA源码:/*Module name: boundary_extracTIon.vDe

FPGA二值图像边界提取算法实现

用户评论
请输入评论内容
评分:
暂无评论