基于FPGA的多功能数字钟设计 (2013年)

zyg52090 11 0 PDF 2021-05-10 08:05:37

在FPGA开发环境下,以QuartusII软件为系统设计平台,采用Verilog HDL语言,运用自上而下的模块化编程思想和实现方案对多功能数字钟各功能模块进行设计.在原理图文件中添加各功能模块元件符号,然后通过连线组合各底层模块来实现顶层模块设计,最后进行编译和仿真,验证设计的正确性.系统整体设计具有灵活性强、外围电路少、计时精度高、可靠性强等优点.最终在FPGA芯片EP2C8Q208C8上完成下载,验证系统的正确性和实用性.

用户评论
请输入评论内容
评分:
暂无评论