基于VHDL的数字时钟设计

vince3217 31 0 PDF 2019-01-11 11:01:43

VHDL是一种标准的硬件描述语言,该语言可以描述硬件电路的功能、信号连接关系及定时关系,是当今电子设计自动化(EDA)的核心技术.本文通过简易电子表的设计实例,详细介绍了利用VHDL设计电路的流程和方法.

用户评论
请输入评论内容
评分:
暂无评论