ARM汇编语言程序设计 5.1ARM汇编语言的伪操作、宏指令与伪指令5.2ARM汇编语言程序设计5.3嵌入式C语言程序设计基础5.4嵌入式C语言程序设计实例5.5嵌入式C语言程序设计技巧5.6C与汇编语言混合编程5.7基于EmbestIDEforARM环境的软件开发实例
FPGA频率计数器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity scan_led is port( clk:in std_logic; sg:out std_logic_vector(6 downt