FPGA--频率计数器
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity scan_led is port( clk:in std_logic; sg:out std_logic_vector(6 downto 0); bt:out std_logic_vector(7 downto 0)); end; architecture one of scan_led is signal cnt8:std_logic_vector(2 downto 0); signal
推荐下载
-
FPGA0100M频率计
基于FPGA的频率计程序,原理图,经上机测试,绝对可用。
22 2019-01-14 -
FPGA全同步频率计
基于FPGA和外围延时设计的全同步频率计精度高,速度快
22 2019-01-20 -
FPGA数字频率计
基于FPGA的数字频率计设计资料,:文中介绍了一种以大规模可编程逻辑芯片为设计载体,由顶到底分层设计,电路图为设计输入,并行数字频率计的设计方法。仿真与分析结果表明,该数字频率计性能优异。
63 2019-01-22 -
基于fpga的频率计设计
频率计设计 vhdl fpga 毕业论文 已经通过论文答辩 有紡真图
24 2019-01-22 -
FPGA设计频率计算方法
我们的设计需要多大容量的芯片?我们的设计能跑多快?这是经常困扰工程师的两个问题。对于前一个问题,我们可能还能先以一个比较大的芯片实现原型,待原型完成再选用大小合适的芯片实现。对于后者,我们需要一个比较
7 2020-08-20 -
at89c51做的频率计结合393计数器可计5M频率的波形
本人电子设计期间用89c51做的频率测量模块,用8个数码管显示,可计65536*16hz的频率。
14 2020-09-27 -
计数器vhdl
6进制计数器的vhdl描述 entity frequencies is port(clk:in std_logic; q:out std_logic); end frequencies; archit
38 2019-02-16 -
计数器exe
黑客包,(用语字典)
34 2019-02-18 -
android计数器
android计算器的一个实例程序代码与大家分享
24 2019-02-23 -
TapCounter计数器
Android 一般在使用 MVC 原则时,往往不容易把 V 和 C 层分离,这里提供了一个例子。
31 2019-02-23
用户评论