image
flyfromhere

这家伙很懒,什么也没写

Ta上传的资源(0)个

sd_card_pack_OC120116.pdf

sd slave 控制器描述----------------------------------------------------------------- 以及其结构-----------------------------------------------------------------

其它 4 0 PDF 2021-05-22 05:05:49

upd720201datasheet pdf

upd720201 datasheet pdf USB3.0 Host Controller μPD720201/μPD720202 含 datasheet 及 fireware

OS 8 0 ZIP 2020-10-28 01:10:38

upd720201PCIE TO USB3.0

upd720207 pcie转 4* usb3.0 原理图

webOS 20 0 PDF 2020-10-27 08:10:28

TE泰科VPX连接器

TE 泰科VPX连接器

网络游戏 19 0 PDF 2020-10-27 08:10:28

c_wp486deep learning int8.pdf

本白皮书旨在探索实现在赛灵思 DSP48E2 Slice 上的 INT8 深度学习运算,以及与其他 FPGA 的对比情况。在相同资源数量情况下,赛灵思的 DSP 架构凭借 INT8 在 INT8 深度学习每秒运算次数 (OPS) 上相比其它 FPGA,能实现 1.75 倍的峰值解决方案级性能。由于深

深度学习 10 0 PDF 2020-07-29 06:07:28

AN_387FT600Data Streamer Application User Guide.pdf

The streamerapplication transfers data to and from the FIFO master via the FT600/FT601. It allows user to measure the performance of FT600/FT601 using

硬件开发 10 0 PDF 2020-07-20 19:07:44

wr6gse_rev_a.pdf

力科示波器手册waverunner6000系列维修手册 ThisbriefguideincludesimportantsafetyandinstallationinformationforyourWaveRunner6000Seriesoscilloscopealongwithbriefoperat

硬件开发 15 0 PDF 2020-05-30 19:05:30

rgb2dvi.rar

RGBTODVI/HDMIfpgaIP模块,k已封装为VIVADOip,TMDS4laneoutput entityrgb2dviis Generic( kGenerateSerialClk:boolean:=true; kClkPrimitive:string:="PLL";--"MMCM

图像处理 13 0 RAR 2020-05-19 15:05:40

GL3224usb3.0高速读卡器芯片

GL3224usb3.0高速读卡器芯片资料datasheet,usb读卡器原厂文档

硬件开发 35 0 pdf 2019-09-27 13:09:23

瑞萨UPD720201用户手册

瑞萨UPD720201用户手册,User'smanual包含upd720201,upd720202

硬件开发 30 0 PDF 2019-07-23 00:07:11