基于vhdl语言设计的ram

xiaoxiaoshisyy 37 0 RAR 2019-05-04 14:05:24

本程序是采用vhdl语言进行编写的程序,描述了RAM的实现过程。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-05-04 14:05:24

代码挺好的,值得下载

Generic placeholder image 卡了网匿名网友 2019-05-04 14:05:24

谢谢啦!派上用场啦

Generic placeholder image 卡了网匿名网友 2019-05-04 14:05:24

代码是还行,不过我用max-plu2调试ram.vhd文件时报了个错。

Generic placeholder image 卡了网匿名网友 2019-05-04 14:05:24

还好吧,这个代码