论文:基于VHDL的数控分频器设计及应用

辰冬 36 0 PDF 2019-05-06 06:05:36

数控分频器的输出信号频率为输入数据的函数。用传统的方法设计,其设计过程和电路都比较复杂,且设计成果的可修改性和可移植性都较差。基于VHDL的数控分频器设计,整个过程简单、快捷,极易修改,可移植性强。他可利用并行预置数的加法计数器和减法计数器实现。广泛应用于电子仪器、乐器等数字电子系统中。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-05-06 06:05:36

挺不错,很有启发

Generic placeholder image 卡了网匿名网友 2019-05-06 06:05:36

用程序代替硬件实现分频,只需要改动数值就可以实现功能,赞一个