基于FPGA的高精度超声波测距系统的设计

wplao 15 0 PDF 2020-10-27 16:10:46

设计了一种基于FPGA的超声波测距模块。在时序和信号处理方面,采用Cyclone II系列EP2C5T144C8芯片,通过设计时序发生器、高速计数、回波识别和可变门槛控制等逻辑电路模块可快速有序地对信号进行处理。在声速方面,加入了温度补偿模块,避免使用固定的声速值所引入的偏差,从而提高系统精度。该系统具有可靠性高、集成度高和响应速度快等特点,实验表明,在距障碍物600 mm~3 600 mm时,相对误差在0.3%以内,测量精度得到很大提高。

用户评论
请输入评论内容
评分:
暂无评论