单精度浮点数加法器FPGA实现——(异号相加)
在上一篇博客单精度浮点数加法器FPGA实现——(同号相加)中笔者介绍了单精度浮点数同号相加的FPGA逻辑实现,本次笔者将继续介绍异号相加的逻辑,下面给出verilog代码: module FP_ADD_diff_oper //不同符号的浮点数据相加 ( input wire MAIN_CLK, input wire [31:0] a, input wire [31:0] b, output wire [31:0] ab ); reg [7:0] pow_a; reg [7:0] pow_b; reg [22:0] val_a; reg [22:0] va
用户评论
推荐下载
-
vhdl并行加法器
用vhdl语言,实现并行加法器,并用到元件列化的方法。
34 2019-09-06 -
3比特加法器
Verilog 3位加法器代码 数字逻辑练习 名称:adder3 。
43 2019-01-12 -
1024位加法器
Verilog编写的简单1024位流水线加法
35 2019-04-09 -
常用加法器_Verilog
关于常用的加法器里面都有,VerilogHDL
38 2019-05-15 -
并行加法器VHDL
并行加法器~~!能实现八bit输入和5bit输出~!
37 2019-05-16 -
CSA加法器原理
描述了CSA加法器的原理,主要用于FPGA硬件加速,有很好的效果。
57 2019-07-07 -
同向加法器仿真
用proteus仿真软件,LM358运算放大器同向加法器仿真。
23 2019-05-31 -
KS加法器verilog
KS adder verilog
31 2019-06-22 -
VHDL加法器源码
LIBRARYieee;USEieee.std_logic_1164.ALL;ENTITYVhdl1ISPORT(a:INbit_vector(2downto0);s:OUTbit_vector(1d
53 2019-12-27 -
加法器vhdl设计
加法器vhdl设计
53 2019-12-27
暂无评论