vhdl多功能加法器

admin8811 36 0 其他文档 2019-09-10 00:09:05

设计一个多功能的1位加法器,有控制信号M、S2、S1、S0。当M=1,做算术运算:在S2、S1、S0的控制下能完成两个1位二进制数A、B的以下算术运算:A加B,A加1,A加B加低位来的进位,B加1,A加,A加0,A加A,A加加1。当M=0,做逻辑运算:在S2、S1、S0的控制下能完成两个1位二进制数A、B的以下逻辑运算:A+B,AA+B,A·B等。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-09-10 00:09:05

确实挺好用的