verilog实现的基于流水线的128位加法器

qq_88895 35 0 V 2019-01-19 12:01:29

用verilog实现的基于流水线的128位加法器。

用户评论
请输入评论内容
评分:
Generic placeholder image 卡了网匿名网友 2019-01-19 12:01:29

好东西,学习了,先自己琢磨琢磨

Generic placeholder image 卡了网匿名网友 2019-01-19 12:01:29

非常有用的源码,对我学习这门语言有很大帮助